CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl led

搜索资源列表

  1. led

    0下载:
  2. LED显示,硬件描述语言VHDL,代码简洁,功能实现的好-LED display, hardware descr iption language VHDL, the code simple and functional to achieve good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:145402
    • 提供者:xin
  1. led

    0下载:
  2. 基于FPGA的简单VHDL编写的流水灯程序 -FPGA VHDL LIUSHUIDENG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1273
    • 提供者:宋冬锋
  1. led

    0下载:
  2. 学学习VHDL语言的使用,让led灯实现不同的控制达到设计目的-VHDL language school to learn the use of led lights to achieve different control designed to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1236
    • 提供者:chalk
  1. DE0_PWM_LED

    0下载:
  2. 利用pwm做出的一个控制led亮度的小程序!-Pwm to make use of a control led brightness applet!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:15824
    • 提供者:tony
  1. DMX512_2_23

    1下载:
  2. 本系统设计利用FPGA设计了一个接在电脑串口上的一个DMX512协议的转接卡,它可以让你的电脑变成一台超强的电脑灯控制台或者调光台、LED控制器等。通过电脑软件,可以控制电脑灯或者其他DMX512协议的设备,比如LED灯、激光灯、PAR灯、DJ设备等等。 本系统还有体积小巧携带方便等特点,足够一般的娱乐场所、多功能厅、会议厅等场所使用,同时采用电脑进行灯光的控制,也可以提升工程的技术含量,显得更高科技。通过简单更改DMX模块的UART部分,还可以将串口转换usb接口,不过由于手头上的FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2223605
    • 提供者:swekey
  1. scale

    0下载:
  2. 可以实现二进制向十进制转换 输出时16进制的 可以用数码管显示-Binary to decimal conversion can be achieved when the 16 hex output LED display can be
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:1349
    • 提供者:梁天尺
  1. ledplay

    0下载:
  2. 基于VHDL的各种流水灯的显示,程序简洁,占用资源少-VHDL-based light display a variety of water, the program simple and less resource
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:218313
    • 提供者:周殿凤
  1. timedled

    0下载:
  2. 小灯闪烁,自己在Spartan-3e板上测试用的-blink LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:407574
    • 提供者:cynthia_
  1. vhdl

    0下载:
  2. 当接收到一个信号(D_start)时,开始计时,再收到另一个信号(D_stop)时,计时结束,得到计时时间A,然后将时间A与给定时间B进行比较,如果小于时间B,程序结束,进行下一环节(LED),否则返回重新等待计时(cnt:=0)-When receiving a signal (D_start), the start time, and then received another signal (D_stop), the time the end of time by time A, then
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:5054
    • 提供者:Devine
  1. lift

    0下载:
  2. 本设计用VDHL实现了50层电梯的控制,实现的功能有(1)用LED显示电梯的行进过程,即用数码管显示电梯当前所在楼层的位置。 (2)在每层电梯的入口处有两个按钮上升请求(up)和下降请求(down),按钮按下时则对应的LED亮。 (3)电梯到达了有请求的楼层之后,把门打开。停留15秒之后,把门关闭。 (4)电梯的运行遵循方向优先原则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下面上逐个执行,直到最后一个上楼请求执行完毕,如更高层有下楼请求则直接上升到有下楼请求的最
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7878168
    • 提供者:Jackie Liang
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. pwm

    0下载:
  2. FPGA控制的 PWM LED程序 较为复杂 有助于新手进阶参考-FPGA PWM LED control is more complicated procedures will help novices Advanced Reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:432312
    • 提供者:cood
  1. VHDL

    0下载:
  2. 各种vhdl程序,包括基础程序数码管,led,串口等和综合程序数字钟等。-Vhdl various procedures, including procedures based on digital control, led, serial port and integrated programs such as digital clock and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4525457
    • 提供者:yangjia
  1. led

    0下载:
  2. VHDL用语言所写的液晶屏驱动程序,文本为word-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:406289
    • 提供者:li
  1. led

    0下载:
  2. 用VHDL语言写的实现led灯的跑马灯现象-VHDL implementation of the language used to write the phenomenon led lights Marquee
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:74161
    • 提供者:王力维
  1. verilog_calculator

    1下载:
  2. 用verilog编写的简易计算器代码。通过一位全加器组成电路,可以实现加法、减法和乘法,并在七段数码管上显示出十进制的结果。-Simple calculator with code written in verilog. Composed by a full adder circuit, can add, subtract and multiply, and in the seven-segment LED display on the decimal result.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:15920
    • 提供者:刘涛
  1. LED.Control

    0下载:
  2. 发光二极管控制 利用LP-2900实验仪Altera模块上的PLD器件,以“流水灯”形式点亮A区的L1~L12共12个发光二极管,即使这12个发光二极管周期性地按照1秒的间隔从左向右依次循环点亮。要求用VHDL语言实现。仿真出控制12位发光二极管依次循环点亮的波形。-LED Control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:30299
    • 提供者:duopk
  1. keypadinterfacecontroller

    0下载:
  2. 设计并实现一个4X8键盘接口控制器,含有时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路、按键码存储电路、显示电路。要求:当按下某一键时,在数码管上显示该键对应的键值-Design and implement a 4X8 keypad interface controller, with timing generator circuit, the keyboard scanning circuit, bounce elimination circuit, the keyboard deco
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6178
    • 提供者:zhuimeng
  1. cube-v04-code

    0下载:
  2. 3x3x3 Assembly led cube code
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:18581
    • 提供者:Selcuk Ural
  1. traffic123

    0下载:
  2. 基于VHDL的交通灯,可以用LED显示等待时间-VHDL LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:242999
    • 提供者:hu
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 23 »
搜珍网 www.dssz.com